FPGA UDP RGMII 千兆以太网(2)IDDR

news/2024/5/18 12:08:11 标签: fpga开发, udp, 网络协议

1 xilinx原语

在 7 系列 FPGA 中实现 RGMII 接口需要借助 5 种原语,分别是:IDDR、ODDR、IDELAYE2、ODELAYE2(A7 中没有)、IDELAYCTRL。其中,IDDR和ODDR分别是输入和输出的双边沿寄存器,位于IOB中。IDELAYE2和ODELAYE2,分别用于控制 IO 口输入和输出延时。同时,IDELAYE2 和 ODELAYE2 的延时值需要使用原语 IDELAYCTRL 来进行校准。另外,需要注意的是,在 7 系列器件的 HR Bank 中没有 ODELAYE2,只有在 HP BANK 中才有 ODELAYE2。1).IDDR IDDR将输入的双边沿DDR信号,在输出端恢复为两个并行单边沿SDR信号。IDDR的原语如下。详细参数可参考UG471。

2 Input DDR Overview (IDDR)

7 系列器件在 ILOGIC 模块中具有专用寄存器,用于实现输入双倍数据速率 (DDR) 寄存器。此功能通过实例化 IDDR 原语来使用。 所有馈入I/O模块的时钟都是完全多路复用的,即ILOGIC和OLOGIC模块之间没有时钟共享。IDDR 原语支持以下操作模式:

1• OPPOSITE_EDGE mode
2• SAME_EDGE mode
3• SAME_EDGE_PIPELINED mode

http://www.niftyadmin.cn/n/5165349.html

相关文章

【Vue】路由组件向app.vue主文件传值

在Vue.js中,可以使用路由传参的方式向App.vue主页面传递数据。有多种方法可以实现这一目标,以下是两种常见方法: 使用路由参数: 在路由定义中,你可以使用路由参数来传递数据。首先,你需要在路由配置中定义路…

jSignature 横屏手写签名

开发需求&#xff1a;移动端竖屏手写板太小了&#xff0c;想做一个横屏的手写板 开发问题&#xff1a;横屏手写板书写的base64导出的图片是竖直的&#xff0c;我这里想变成横版显示&#xff0c;就修改了下生成的base64 <!DOCTYPE html> <html lang"en">&…

【原创】SSL证书文件详情查看

证书文件 C:\Users\Zmrbak\ 的目录2023/11/09 14:59 <DIR> . 2023/11/09 14:59 <DIR> .. 2023/11/09 14:01 1,675 www.talker-ai.com.key 2023/11/09 14:01 3,830 www.talker-ai.com.pem查看证书的工具 http…

Facebook主页评分的优化建议

Facebook是全球最大的社交媒体平台之一&#xff0c;它拥有着超10亿的用户&#xff0c;那么在这个竞争激烈的平台上维护和优化你的Facebook主页评分对于增加曝光度以及吸引更多的粉丝和提升品牌形象是非常重要的&#xff0c;下面小编将讲讲Facebook主页评分的优化建议。 1、清楚…

Git 分支设计规范

开篇 这篇文章分享 Git 分支设计规范&#xff0c;目的是提供给研发人员做参考。 规范是死的&#xff0c;人是活的&#xff0c;希望自己定的规范&#xff0c;不要被打脸。 在说 Git 分支规范之前&#xff0c;先说下在系统开发过程中常用的环境。 DEV 环境&#xff1a;用于开发…

TikTok大数据解密:社交媒体的秘密洞察

在数字时代&#xff0c;社交媒体平台已经成为了人们交流、分享和娱乐的主要场所。其中&#xff0c;TikTok作为全球最受欢迎的短视频平台之一&#xff0c;吸引了数以亿计的用户。然而&#xff0c;TikTok不仅是一个视频分享平台&#xff0c;它还是一个庞大的数据宝库&#xff0c;…

uniapp获取设备mac地址

const net plus.android.importClass(java.net.NetworkInterface);const wlan0 net.getByName(wlan0);const macByte wlan0.getHardwareAddress();let macStr ;macByte.forEach(item > {// .toString(16)数字以十六进制值显示let temp ;if (item < 0) temp (256 i…