基于FPGA的UDP协议栈设计第一章_MAC层设计

news/2024/5/18 12:08:03 标签: udp, fpga开发, 网络协议, 网络

文章目录

  • 前言:以太网MAC层报文解析
  • 一、MAC发送模块
    • 1.1、组帧FIFO
    • 1.1、发送端CRC校验码
  • 二、MAC接收模块
    • 2.1、接收端CRC校验
    • 2.1、接收端CRC处理模块
      • 1、设计思路
      • 2、考虑问题
  • 总结

前言:以太网MAC层报文解析

在这里插入图片描述
前导码:7个0h55和一个起始界定符SFD,0hD5,不过大部分地方好像是7个0hAA,就是bit顺序相反,不过我用FPGA接收到的上位机的网口数据是55,估计是大小端传输问题吧。:有时候有可能是六个55一个D5
在这里插入图片描述
类型:IP:0X0800。ARP:0X0806

一、MAC发送模块

发送模块按部就班按照协议格式进行组帧即可,木有难度,只有俩点注意一下。

1.1、组帧FIFO

用户进入的数据需要先存入FIFO,因为用户数据不能立马填入MAC帧当中,需要先填充前面的前导码,MAC地址等内容

1.1、发送端CRC校验码

直接通过网址http://crctool.easics.be/产生相应的CRC校验模块即可。
在这里插入图片描述
简单介绍一下代码如何使用:
原始文件:下载下来是这样的

module CRC32_D8;

  // polynomial: x^32 + x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + 1
  // data width: 8
  // convention: the first serial bit is D[7]
  function [31:0] nextCRC32_D8;

    input [7:0] Data;
    input [31:0] crc;
    reg [7:0] d;
    reg [31:0] c;
    reg [31:0] newcrc;
  begin
    d = Data;
    c = crc;

    newcrc[0] = d[6] ^ d[0] ^ c[24] ^ c[30];
    newcrc[1] = d[7] ^ d[6] ^ d[1] ^ d[0] ^ c[24] ^ c[25] ^ c[30] ^ c[31];
    newcrc[2] = d[7] ^ d[6] ^ d[2] ^ d[1] ^ d[0] ^ c[24] ^ c[25] ^ c[26] ^ c[30] ^ c[31];
    newcrc[3] = d[7] ^ d[3] ^ d[2] ^ d[1] ^ c[25] ^ c[26] ^ c[27] ^ c[31];
    newcrc[4] = d[6] ^ d[4] ^ d[3] ^ d[2] ^ d[0] ^ c[24] ^ c[26] ^ c[27] ^ c[28] ^ c[30];
    newcrc[5] = d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[1] ^ d[0] ^ c[24] ^ c[25] ^ c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31];
    newcrc[6] = d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[2] ^ d[1] ^ c[25] ^ c[26] ^ c[28] ^ c[29] ^ c[30] ^ c[31];
    newcrc[7] = d[7] ^ d[5] ^ d[3] ^ d[2] ^ d[0] ^ c[24] ^ c[26] ^ c[27] ^ c[29] ^ c[31];
    newcrc[8] = d[4] ^ d[3] ^ d[1] ^ d[0] ^ c[0] ^ c[24] ^ c[25] ^ c[27] ^ c[28];
    newcrc[9] = d[5] ^ d[4] ^ d[2] ^ d[1] ^ c[1] ^ c[25] ^ c[26] ^ c[28] ^ c[29];
    newcrc[10] = d[5] ^ d[3] ^ d[2] ^ d[0] ^ c[2] ^ c[24] ^ c[26] ^ c[27] ^ c[29];
    newcrc[11] = d[4] ^ d[3] ^ d[1] ^ d[0] ^ c[3] ^ c[24] ^ c[25] ^ c[27] ^ c[28];
    newcrc[12] = d[6] ^ d[5] ^ d[4] ^ d[2] ^ d[1] ^ d[0] ^ c[4] ^ c[24] ^ c[25] ^ c[26] ^ c[28] ^ c[29] ^ c[30];
    newcrc[13] = d[7] ^ d[6] ^ d[5] ^ d[3] ^ d[2] ^ d[1] ^ c[5] ^ c[25] ^ c[26] ^ c[27] ^ c[29] ^ c[30] ^ c[31];
    newcrc[14] = d[7] ^ d[6] ^ d[4] ^ d[3] ^ d[2] ^ c[6] ^ c[26] ^ c[27] ^ c[28] ^ c[30] ^ c[31];
    newcrc[15] = d[7] ^ d[5] ^ d[4] ^ d[3] ^ c[7] ^ c[27] ^ c[28] ^ c[29] ^ c[31];
    newcrc[16] = d[5] ^ d[4] ^ d[0] ^ c[8] ^ c[24] ^ c[28] ^ c[29];
    newcrc[17] = d[6] ^ d[5] ^ d[1] ^ c[9] ^ c[25] ^ c[29] ^ c[30];
    newcrc[18] = d[7] ^ d[6] ^ d[2] ^ c[10] ^ c[26] ^ c[30] ^ c[31];
    newcrc[19] = d[7] ^ d[3] ^ c[11] ^ c[27] ^ c[31];
    newcrc[20] = d[4] ^ c[12] ^ c[28];
    newcrc[21] = d[5] ^ c[13] ^ c[29];
    newcrc[22] = d[0] ^ c[14] ^ c[24];
    newcrc[23] = d[6] ^ d[1] ^ d[0] ^ c[15] ^ c[24] ^ c[25] ^ c[30];
    newcrc[24] = d[7] ^ d[2] ^ d[1] ^ c[16] ^ c[25] ^ c[26] ^ c[31];
    newcrc[25] = d[3] ^ d[2] ^ c[17] ^ c[26] ^ c[27];
    newcrc[26] = d[6] ^ d[4] ^ d[3] ^ d[0] ^ c[18] ^ c[24] ^ c[27] ^ c[28] ^ c[30];
    newcrc[27] = d[7] ^ d[5] ^ d[4] ^ d[1] ^ c[19] ^ c[25] ^ c[28] ^ c[29] ^ c[31];
    newcrc[28] = d[6] ^ d[5] ^ d[2] ^ c[20] ^ c[26] ^ c[29] ^ c[30];
    newcrc[29] = d[7] ^ d[6] ^ d[3] ^ c[21] ^ c[27] ^ c[30] ^ c[31];
    newcrc[30] = d[7] ^ d[4] ^ c[22] ^ c[28] ^ c[31];
    newcrc[31] = d[5] ^ c[23] ^ c[29];
    nextCRC32_D8 = newcrc;
  end
  endfunction
endmodule

具体实现可以看看其他文章介绍,每一个8bit数据的校验结果都用来和下一个8bit进行计算。前导码不参与校验
原始文件是一个function函数的形式,我们一般使用module的形式进行调用。记得进行大小端翻转。

module CRC32_D8(
	input			i_clk	,
	input			i_rst	,
	input			i_en	,
	input  [7 :0]	i_data	,
	output [31:0]	o_crc	
);

reg  [31:0] crc;
wire [7 :0] d;
wire [31:0] c;
wire [31:0] newcrc;
assign	o_crc = ~{crc[0] ,crc[1] ,crc[2] ,crc[3] ,crc[4] ,crc[5] ,crc[6] ,crc[7] ,
				  crc[8] ,crc[9] ,crc[10],crc[11],crc[12],crc[13],crc[14],crc[15],
				  crc[16],crc[17],crc[18],crc[19],crc[20],crc[21],crc[22],crc[23],
				  crc[24],crc[25],crc[26],crc[27],crc[28],crc[29],crc[30],crc[31]};

assign	d = {i_data[0],i_data[1],i_data[2],i_data[3],i_data[4],i_data[5],i_data[6],i_data[7]};
assign	c = crc;
assign	newcrc[0] = d[6] ^ d[0] ^ c[24] ^ c[30];
assign	newcrc[1] = d[7] ^ d[6] ^ d[1] ^ d[0] ^ c[24] ^ c[25] ^ c[30] ^ c[31];
assign	newcrc[2] = d[7] ^ d[6] ^ d[2] ^ d[1] ^ d[0] ^ c[24] ^ c[25] ^ c[26] ^ c[30] ^ c[31];
assign	newcrc[3] = d[7] ^ d[3] ^ d[2] ^ d[1] ^ c[25] ^ c[26] ^ c[27] ^ c[31];
assign	newcrc[4] = d[6] ^ d[4] ^ d[3] ^ d[2] ^ d[0] ^ c[24] ^ c[26] ^ c[27] ^ c[28] ^ c[30];
assign	newcrc[5] = d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[1] ^ d[0] ^ c[24] ^ c[25] ^ c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31];
assign	newcrc[6] = d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[2] ^ d[1] ^ c[25] ^ c[26] ^ c[28] ^ c[29] ^ c[30] ^ c[31];
assign	newcrc[7] = d[7] ^ d[5] ^ d[3] ^ d[2] ^ d[0] ^ c[24] ^ c[26] ^ c[27] ^ c[29] ^ c[31];
assign	newcrc[8] = d[4] ^ d[3] ^ d[1] ^ d[0] ^ c[0] ^ c[24] ^ c[25] ^ c[27] ^ c[28];
assign	newcrc[9] = d[5] ^ d[4] ^ d[2] ^ d[1] ^ c[1] ^ c[25] ^ c[26] ^ c[28] ^ c[29];
assign	newcrc[10] = d[5] ^ d[3] ^ d[2] ^ d[0] ^ c[2] ^ c[24] ^ c[26] ^ c[27] ^ c[29];
assign	newcrc[11] = d[4] ^ d[3] ^ d[1] ^ d[0] ^ c[3] ^ c[24] ^ c[25] ^ c[27] ^ c[28];
assign	newcrc[12] = d[6] ^ d[5] ^ d[4] ^ d[2] ^ d[1] ^ d[0] ^ c[4] ^ c[24] ^ c[25] ^ c[26] ^ c[28] ^ c[29] ^ c[30];
assign	newcrc[13] = d[7] ^ d[6] ^ d[5] ^ d[3] ^ d[2] ^ d[1] ^ c[5] ^ c[25] ^ c[26] ^ c[27] ^ c[29] ^ c[30] ^ c[31];
assign	newcrc[14] = d[7] ^ d[6] ^ d[4] ^ d[3] ^ d[2] ^ c[6] ^ c[26] ^ c[27] ^ c[28] ^ c[30] ^ c[31];
assign	newcrc[15] = d[7] ^ d[5] ^ d[4] ^ d[3] ^ c[7] ^ c[27] ^ c[28] ^ c[29] ^ c[31];
assign	newcrc[16] = d[5] ^ d[4] ^ d[0] ^ c[8] ^ c[24] ^ c[28] ^ c[29];
assign	newcrc[17] = d[6] ^ d[5] ^ d[1] ^ c[9] ^ c[25] ^ c[29] ^ c[30];
assign	newcrc[18] = d[7] ^ d[6] ^ d[2] ^ c[10] ^ c[26] ^ c[30] ^ c[31];
assign	newcrc[19] = d[7] ^ d[3] ^ c[11] ^ c[27] ^ c[31];
assign	newcrc[20] = d[4] ^ c[12] ^ c[28];
assign	newcrc[21] = d[5] ^ c[13] ^ c[29];
assign	newcrc[22] = d[0] ^ c[14] ^ c[24];
assign	newcrc[23] = d[6] ^ d[1] ^ d[0] ^ c[15] ^ c[24] ^ c[25] ^ c[30];
assign	newcrc[24] = d[7] ^ d[2] ^ d[1] ^ c[16] ^ c[25] ^ c[26] ^ c[31];
assign	newcrc[25] = d[3] ^ d[2] ^ c[17] ^ c[26] ^ c[27];
assign	newcrc[26] = d[6] ^ d[4] ^ d[3] ^ d[0] ^ c[18] ^ c[24] ^ c[27] ^ c[28] ^ c[30];
assign	newcrc[27] = d[7] ^ d[5] ^ d[4] ^ d[1] ^ c[19] ^ c[25] ^ c[28] ^ c[29] ^ c[31];
assign	newcrc[28] = d[6] ^ d[5] ^ d[2] ^ c[20] ^ c[26] ^ c[29] ^ c[30];
assign	newcrc[29] = d[7] ^ d[6] ^ d[3] ^ c[21] ^ c[27] ^ c[30] ^ c[31];
assign	newcrc[30] = d[7] ^ d[4] ^ c[22] ^ c[28] ^ c[31];
assign	newcrc[31] = d[5] ^ c[23] ^ c[29];

always @(posedge i_clk or posedge i_rst) begin
	if(i_rst)
		crc <= 32'hffffffff;
	else if(i_en)
		crc <= newcrc;
	else
		crc <= crc;
end

endmodule

二、MAC接收模块

2.1、接收端CRC校验

接收模块对数据逐字节按照协议进行解析即可,并且要比对CRC校验结果,把前面的前导码去掉很简单,那么要怎么去除后面四个byte的校验码呢,此时需要对数据进行打拍处理,比如把数据打5拍,第一拍数据结束的时候,第五拍数据刚好就是在有效数据刚刚结束的地方,如黄线部分。
在这里插入图片描述
接收到的CRC校验可以通过第一拍数据进行不断移位操作便可以得到;本地则对第五拍数据进行校验,即可同步得到接收报文里面的CRC和本地检查结果的CRC。进行对比,得出结论。

2.1、接收端CRC处理模块

该模块需要实现以下功能:将MAC_RX接收到的数据同步存储到本地RAM,然后根据MAC_RX模块得到的校验结果决定该数据需要继续向上一层传输(CRC比对正确)还是直接丢弃(CRC比对错误)。

1、设计思路

具体实现过程是通过一个环形RAM,随着接收数据的进入,会一个个存入RAM当中,并且地址进行累加,如果CRC正确,那么地址则停留在此,不进行回退,如果数据错误,那么RAM地址则直接回退到上一次写数据结束的地方,因此就可以通过覆盖此数据以实现将数据丢弃的功能。

2、考虑问题

在此过程中还需要记录每一次数据的类型和长度,同步存储到相应的FIFO当中。这是为什么呢?
首先我们考虑设计的RAM最大长度,由于MAC数据最大长度为1500,所以RAM最小为1500,当到达一个1500的数据后,它会先存入RAM,随后等待校验结果,正确则开始输出,倘若随后来到的数据包很小,比如连续到达俩个46的数据包,而此时第一个1500的数据包依旧还在发送,所以我们必须要将每一个到达的数据的类型和长度都存入FIFO,才能够在后续发送他们的时候知道他们的长度和类型,如果不知道数据长度,就没办法正确从RAM中将他们读出。

总结

除了CRC相关模块以外,并没有其它难度,完整代码可以参考本人GitHub:https://github.com/shun6-6/Tri_Eth_UDP_pro_stack


http://www.niftyadmin.cn/n/5447977.html

相关文章

0基础 三个月掌握C语言(13)-下

数据在内存中的存储 浮点数在内存中的存储 常见的浮点数&#xff1a;3.141592、1E10等 浮点数家族包括&#xff1a;float、double、long double类型 浮点数表示的范围&#xff1a;在float.h中定义 练习 关于&#xff08;float*)&n&#xff1a; &n&#xff1a;这是一…

收集一些PostgreSQL的题目

文章目录 1. 详述PostgreSQL的MVCC&#xff08;多版本并发控制&#xff09;机制是如何工作的&#xff0c;并解释它如何帮助处理并发事务&#xff1f;2. 在PostgreSQL中&#xff0c;一个查询是如何从用户输入转化为实际的数据返回的&#xff1f;请描述一下查询执行的生命周期。3…

<REAL-TIME TRAFFIC OBJECT DETCTION FOR AUTONOMOUS DRIVING>论文阅读

Abstract 随着计算机视觉的最新进展&#xff0c;自动驾驶迟早成为现代社会的一部分&#xff0c;然而&#xff0c;仍有大量的问题需要解决。尽管现代计算机视觉技术展现了优越的性能&#xff0c;他们倾向于将精度优先于效率&#xff0c;这是实时应用的一个重要方面。大型目标检测…

七、Spring源码学习之registerBeanPostProcessors方法

registerBeanPostProcessors()方法 protected void registerBeanPostProcessors(ConfigurableListableBeanFactory beanFactory) {PostProcessorRegistrationDelegate.registerBeanPostProcessors(beanFactory, this);}PostProcessorRegistrationDelegate.registerBeanPostPro…

RHEL9部署Docker环境

华子目录 Docker引擎架构docker引擎架构示意图执行过程示例 RHEL9上安装Docker1.系统要求2.安装yum-utils工具包3.yum安装docker-ce4.配置docker镜像加速docker拉取镜像的过程配置阿里云镜像仓库重新加载守护进程重启Docker服务 5.拉取并运行hello-world镜像6.测试是否安装成功…

Vscode按键占用问题解决

Vscode按键占用 在使用vscode的过程中&#xff0c;官方按键 Ctrl . 按键可以提示修复代码中的问题&#xff0c;但是发现按了没有反应。 解决问题 首先确认vscode中是否设置了这个按键&#xff0c;默认设置了的系统输入法中是否有按键冲突了&#xff0c;打开输入法设置检查 …

猜数字游戏详解_使用Java语言实现,并且带有GUI图形化界面

文章目录 要求实现思路代码详解运行结果实现代码 要求 使用GUI变成完成猜数字游戏的编写页面布局合理页面上要显示“已猜次数”、“太大、太小或猜中”等相关提示根据个人能力编写单关游戏、或者闯关游戏游戏要有时间限制、并在页面上显示倒计时所剩时间如果是闯关游戏、页面上…

深度学习绘制热力图heatmap、使模型具有可解释性

思路 获取想要解释的那一层的特征图&#xff0c;然后根据特征图梯度计算出权重值&#xff0c;加在原图上面。 Demo 加上类激活(cam) 可以看到&#xff0c;cam将模型认为有利于分类的特征标注了出来。 下面以ResNet50为例: Trick: 使用 for i in model._modules.items():可以…